Ndezvipi zvakanakira Kuburikidza neGirazi Via(TGV) uye Kuburikidza neSilicon Via, TSV (TSV) maitiro pamusoro peTGV?

p1

Zvakanakira zveKuburikidza neGirazi Via (TGV)uye Kuburikidza neSilicon Via(TSV) maitiro pamusoro peTGV anonyanya:

(1) yakanakisa high-frequency magetsi maitiro. Girazi zvinhu insulator zvinhu, iyo dielectric inogara ingori 1/3 yeiyo silicon zvinhu, uye kurasikirwa chinhu ndeye 2-3 mirairo yehukuru yakaderera pane iyo yesilicon zvinhu, izvo zvinoita kuti iyo substrate kurasikirwa uye parasitic mhedzisiro ideredzwe zvakanyanya. uye inovimbisa kutendeseka kwechiratidzo chinotumirwa;

(2)yakakura saizi uye Ultra-yakaonda girazi substrateiri nyore kuwana. Corning, Asahi uye SCHOTT uye vamwe vagadziri vegirazi vanogona kupa yakakura-yakakura saizi (> 2m × 2m) uye Ultra-yakaonda (<50µm) girazi regirazi uye Ultra-yakaonda flexible girazi zvinhu.

3) Mutengo wakaderera. Batsirwa kubva kune nyore kuwana kune yakakura-saizi yekupedzisira-yakaonda yepaneru girazi, uye haidi deposition ye insulating layer, mutengo wekugadzira wegirazi adapta ndiro inongoita 1/8 yesilicon-based adapter plate;

4) Nzira iri nyore. Hapana chikonzero chekuisa insulating layer pane substrate surface uye mukati memadziro eTGV, uye hapana kuonda kunodiwa mu-ultra-thin adapter plate;

(5) Kugadzikana kwakasimba kwemichina. Kunyangwe ukobvu hweadapter plate iri pasi pe100µm, warpage ichiri diki;

(6) Yakasiyana-siyana yezvishandiso, iri kubuda longitudinal yekubatanidza tekinoroji inoshandiswa mumunda wewafer-level kurongedza, kuti iwane chinhambwe chipfupi pakati pewafer-wafer, iyo yakaderera gomo rekubatanidza inopa nzira nyowani tekinoroji, ine yakanakisa magetsi emagetsi. , thermal, mechanical properties, muRF chip, high-end MEMS sensors, high-density system integration uye dzimwe nzvimbo dzine zvakanakira zvakasiyana, chizvarwa chinotevera che5G, 6G high-frequency chip 3D Ndiyo imwe yezvisarudzo zvekutanga zve. 3D kurongedza kweinotevera-chizvarwa 5G uye 6G yakakwirira-frequency machipisi.

Iyo yekuumba maitiro eTGV inonyanya kusanganisira sandblasting, ultrasonic drilling, wet etching, deep reactive ion etching, photosensitive etching, laser etching, laser-induced deep etching, uye kutarisisa kuburitsa gomba kuumbwa.

p2

Zvichangoburwa tsvakiridzo nebudiriro mhedzisiro inoratidza kuti tekinoroji inogona kugadzirira kuburikidza nemakomba uye 5: 1 mapofu maburi ane kudzika kusvika pahupamhi reshiyo ye20: 1, uye iine yakanaka morphology. Laser induced deep etching, inokonzeresa kushata kwepamusoro, ndiyo nzira yakanyanya kudzidzwa parizvino. Sezvinoratidzwa muMufananidzo 1, kune mitswe iri pachena yakatenderedza yakajairwa kuchera laser, nepo akatenderedza uye madziro emadziro e-laser-induced deep etching akachena uye akatsetseka.

p3The processing process ofTGVinterposer inoratidzwa muMufananidzo 2. Hurongwa hwese ndehwekuboora maburi pachikamu chegirazi kutanga, wozoisa barrier layer nembeu pamadziro nepadivi. Iyo yekuvharira layer inodzivirira kupararira kweCu kune girazi substrate, uku ichiwedzera kunamatira kwezviviri, hongu, mune zvimwe zvidzidzo zvakare zvakaona kuti chipingamupinyi chigadziko hachikoshe. Ipapo iyo Cu inoiswa ne electroplating, yobva yanyudzwa, uye iyo Cu layer inobviswa neCMP. Pakupedzisira, iyo RDL rewiring layer inogadzirwa nePVD coating lithography, uye iyo passivation layer inoumbwa mushure mekubviswa glue.

p4

(a) Kugadzirira kwechingwa, (b) kuumbwa kweTGV, (c) mativi maviri-maviri electroplating - kuiswa kwemhangura, (d) annealing uye CMP kemikari-mechanical polishing, kubvisa pamusoro pemhangura layer, (e) PVD coating uye lithography. , (f) kuiswa kweRDL rewiring layer, (g) degluing uye Cu / Ti etching, (h) kuumbwa kwepassivation layer.

Kupedzisa,girazi kuburikidza negomba (TGV)tarisiro dzekushandisa dzakakura, uye musika wazvino wepamba uri padanho rekukwira, kubva kumidziyo kuenda kune chigadzirwa dhizaini uye tsvagiridzo uye kukura kwekukura kwakakwira kupfuura avhareji yepasi rose.

Kana pane kukanganisa, bata bvisa


Nguva yekutumira: Jul-16-2024